设为首页 网站地图 加入收藏

 

资料分类 > 技术资料 > FPGA/CPLD > modelsim:将HEX文件直接读入RAM/ROM

modelsim:将HEX文件直接读入RAM/ROM  112716158632310072.rar

资料格式:.rar 上 传 者: vincent 下载次数:19
上传时间:2007-11-27 16:11:00  资料大小:0.8271484K

说明:

verilog只支持readmemh,在设计mcu时,如果要调试程序,需要先转换格式,很麻烦 这个程序可以将编译后HEX格式的文件直接读入ROM中,省去转换环节 稍微改改就可以嵌入到你的程序里了 参照此程序就可以在仿真时读入其它格式的文件了

下载说明:

(1)本站内所有资料仅限学习、交流,禁止用于任何商业用途!
(2)如果发现该资料不能下载或链接错误,请点击报告错误,谢谢!
(3)站内提供的资料均来自网络以及注册用户自行上传,若侵犯了您的权益,敬请来信通知我们!
(4)若您的资料希望能与大家分享,我们愿意和您一起宣传!投稿请点击这里。
(5)推荐使用网通网络,不要使用校园网络。本站不支持多线程下载。

[上一篇:] Altera'2006 中国电子设计竞赛文章总汇
[下一篇:] (Kluwer) Writing Testbenches--Functional Verification of HDL Models

积分排行榜更多